Power Analysis

Physical Design Analysis


Power Analysis

  • Power Density of the Integrated Circuit increase exponentially with every Technology generation
             PTOTAL = PDYNAMIC + PLEAKAGE
             PDYNAMIC = PSWITCHING + PSHORT_CIRCUIT

  • Leakage Power (Static Power): Leakage at almost all junctions due to various effects
    • Reverse Biased Diode Leakage
    • Gate Induced Drain Leakage
    • Gate Oxide Tunnelling
    • Sub-threshold Leakage

  • Switching Power: When signal change their state, energy is drawn from the power supply to charge up the loadcapacitance from 0 to VDD

  • Short Circuit Power (Crowbar Power/ EM Rush Through Power): Finite non-zero rise and fall times of transistors which causes a direct current path between the Power and Ground`
  • Static/ Leakage Power Analysis
  • Dynamic Power Analysis power analysis
  • With Shrinking technology Static leakage increases which results in more focus in Reducing leakage power for advanced technologies

Static Power/ Leakage Power

  • It is the power consumed when the device is powered up but no signals are changing value (when the transistors are not switching)
  • In CMOS devices, static power consumption is due to leakage
  • Sub-threshold leakage occurs when a CMOS gate is not turned completely OFF
static power, leakage power
    where
    μ - Carrier mobility
    COX - Gate capacitance
    VT - Threshold voltage
    VGS - Gate-Source voltage
    W and L - Dimensions of the transistor
    VTH - Thermal voltage, kT/q = 25.9mV at room temperature
    n - function of device fabrication process (ranges 1.0 -2.5)
Static Power Dissipation — Leakage Power, is consumed when the transistors are not switching
  • Dependent on the voltage, temperature and state of the transistors
  • Leakage Power = V * Ileak
Types of Static Leakages
  • Reverse biased diode leakage from the diffusion layers and the substrate
  • Gate Induced Drain Leakage
  • Gate Oxide Tunnelling
  • Sub-threshold Leakage caused by reduced threshold voltages which prevents the Gate from completely turning OFF
Static Power Reduction Techniques
  • Using Multi VT cell in the design and optimizing for leakage by replacing high VT cell for non timing critical paths
  • Power Gating
    • Power Shut-off groups of logic which are not used
  • Voltage Scaling
  • Multi VDD and Voltage Island
  • Multi-threshold CMOS (Back Biasing)

Dynamic/ Switching Power

  • Dynamic power is the power consumed when the device is active, when signals are changing values (by switching logic states)
  • Primary source of dynamic power consumption is switching power
          PDYN= A C V2 F
    where,
    A is activity factor, i.e., the fraction of the circuit that is switching
    C is Load capacitance
    V is supply voltage
    F is clock frequency

Dynamic Power Calculation depends on

  • Switching frequency
  • Transition
  • Output load
  • Cell internal power Dynamic Power Dissipation
    • Dynamic power is dissipated any time the voltage on a net changesdue to some stimulus
    Types of Dynamic Power
    • Net Switching Power = (Cint * V*V *f)
    • Internal Power = (Cint * V*V *f) + (V * Isc)
    Short Circuit : = (V*ISC) During switching both PMOS and NMOS becomes on which results in a short circuit current
    Internal Capacitance Loading Power = (Cint * V*V *f) is the power consumed while charging/discharging internal nets

    Dynamic Power Reduction Techniques

    dynamic power reduction

    Dynamic Power Reduction Techniques

    Clock Gating
    • Architectural Technique to reduce Dynamic Power along the Clock Path
    • Clock gates should be placed at the Root of the Clock
    • Results in small delay, more area and makes the design complex
    • Clock Gating logic is generally in the form of "Integrated clock gating" (ICG)
    • Sequential clock gating is the process of extracting/propagating the enable conditions to the upstream/downstream sequential elements, so that additional registers can be clock gated
    • As the granularity on which you gate the clock of a synchronous circuit approaches zero, the power consumption of that circuit approaches that of an asynchronous circuit: the circuit only generates logic transitions when it is actively computing
      power analysis

    • What is synthesis?
    • Goals of synthesis
    • Synthesis Flow
    • Synthesis (input & output)
    • HDL file gen. & lib setup
    • Reading files
    • Design envi. Constraints
    • Compile
    • Generate Reports
    • Write files
    Go To page
    • Netlist(.v or .vhd)
    • Constraints
    • Liberty Timing File(.lib or .db)
    • Library Exchange Format(LEF)
    • Technology Related files
    • TLU+ File
    • Milkyway Library
    • Power Specification File
    • Optimization Directives
    • Design Exchange Formats
    • Clock Tree Constraints/ Specification
    • IO Information File
    Go To page
    • import design
    • sanity checks
    • partitioning (flat and hierarchy)
    • objectives of floorplan
    • Inputs of floorplan
    • Floorplan flowchart
    • Floorplan Techniques
    • Terminologies and definitions
    • Steps in FloorPlan
    • Utilization
    • IO Placement
    • Macro Placement
    • Macro Placement Tips
    • Blockages (soft,hard,partial)
    • Halo/keepout margin
    • Issues arises due to bad floor-plan)
    • FloorPlan Qualifications
    • FloorPlan Output
    Go To page
    • levels of power distribution
    • Power Management
    • Powerplanning involves
    • Inputs of powerplan
    • Properties of ideal powerplan
    • Power Information
    • PowerPlan calculations
    • Sub-Block configuration
    • fullchip configuration
    • UPF Content
    • Isolation Cell
    • Level Shifters
    • Retention Registers
    • Power Switches
    • Types of Power dissipation
    • IR Drop
    • Electromigration
    Go To page
    • Pre-Placement
    • Pre-Placement Optimization
    • Placement
    • Placement Objectives
    • Goals of Placement
    • Inputs of Placement
    • Checks Before placement
    • Placement Methods(Timing & Congestion)
    • Placement Steps
    • Placement Optimization
    • Placement Qualifications
    • Placement Outputs
    Go To page
    • Pre-CTS Optimization
    • CTS
    • Diff b/w HFNS & CTS
    • Diff b/w Clock & normal buffer
    • CTS inputs
    • CTS Goals
    • Clock latency
    • Clock problems
    • Main concerns for Clock design
    • Clock Skew
    • Clock Jitter
    • CTS Pre requisites
    • CTS Objects
    • CTS Flow
    • Clock Tree Reference
    • Clock Tree Exceptions
    • CTS Algorithm
    • Analyze the Clock tree
    • Post CTS Optimization
    • CTS Outputs
    Go To page
    • Importance of Routing as Technology Shrinks
    • Routing Objectives
    • Routing
    • Routing Inputs
    • Routing Goals
    • Routing constraints
    • Routing Flow
    • Trial/Global Routing
    • Track Assignment
    • Detail/Nano Routing
    • Grid based Routing
    • Routing Preferences
    • Post Routing Optimization
    • Filler Cell Insertion
    • Metal Fill
    • Spare Cells Tie-up/ Tie-down
    Go To page
    • Diff b/w DTA & STA
    • Static Timing Analysis
    • main steps in STA
    • STA(input & output)
    • Timing Report
    • Clocked storage elements
    • Delays
    • Pins related to clock
    • Timing Arc
    • Timing Unate
    • Clock definitions in STA
    • Timing Paths
    • Timing Path Groups
    • Clock Latency
    • Insertion Delay
    • Clock Uncertainty
    • Clock Skew
    • Clock Jitter
    • Glitch
    • Pulse width
    • Duty Cycle
    • Transition/Slew
    • Asynchronous Path
    • Critical Path
    • Shortest Path
    • Clock Gating Path
    • Launch path
    • Arrival Path
    • Required Time
    • Common Path Pessimism(CPP/CRPR)
    • Slack
    • Setup and Hold time
    • Setup & hold time violations
    • Recovery Time
    • Removal Time
    • Recovery & Removal time violations
    • Single Cycle path
    • Multi Cycle Path
    • Half Cycle Path
    • False Path
    • Clock Domain Crossing(CDC)
    • Clock Domain Synchronization Scheme
    • Bottleneck Analysis
    • Multi-VT Cells(HVT LVT SVT)
    • Time Borrowing/Stealing
    • Types of STA (PBA GBA)
    • Diff b/w PBA & GBA
    • Block based STA & Path based STA
    Go To page

    • Congestion Analysis
    • Routing Congestion Analysis
    • Placement Cong. Analysis
    • Routing Congestion causes
    • Congestion Fixes
    • Global & local cong.
    • Congestion Profiles
    Go To page
    • Power Analysis
    • Leakeage Power
    • Switching Power
    • Short Circuit
    • Leakage/static Power
    • Static power Dissipation
    • Types of Static Leakage
    • Static Power Reduction Techniques
    • Dynamic/Switching Power
    • Dynamic Power calculation depends on
    • Types of Dynamic Power
    • Dynamic Power Reduction Techniques
    Go To page
    • IR Drop Analysis
    • Types of IR Drop & their methodologies
    • IR Drop Reasons
    • IR Drop Robustness Checks
    • IR Drop Impacts
    • IR Drop Remedies
    • Ldi/dt Effects
    Go To page

    • Design Parasitics
    • Latch-Up
    • Electrostatic Discharge(ESD)
    • Electromigration
    • Antenna Effect
    • Crosstalk
    • Soft Errors
    • Sef Heating
    Go To page
    • Cells in PD
    • Standard Cells
    • ICG Cells
    • Well Taps
    • End Caps
    • Filler Cells
    • Decap Cells
    • ESD Clamp
    • Spare Cells
    • Tie Cells
    • Delay Cells
    • Metrology Cells
    Go To page
    • IO Pads
    • Types of IO Pads
    Go To page
    • Delay Calculation
    • Delay Models
    • Interconnect Delay Models
    • Cell Delay Models
    Go To page
    • Engineering Change Order
    • Post Synthesis ECO
    • Post Route ECO
    • Post Silicon ECO
    • Metal Layer ECO Example
    Go To page
    • std cell library types
    • Classification wrt density and Vth
    Go To page

    • The Discontinuity
    • Discontinuity: Classification
    • DFM/DFY
    • Yield Classification
    • Why DFM/DFY?
    • DFM/DFY Solution
    • Wire Spreading
    • metal Fill
    • CAA
    • CMP Aware-Design
    • Redundant Via
    • RET
    • Litho Process Check(LPC)
    • Layout Dependent Effects
    • Resolution Enhancement Techniques
    • Types of RET
    • Optical Proximity Correction(OPC)
    • Scattering Bars
    • Multiple Patterning
    • Phase-shift Masking
    • Off-Axis Illumination
    Go To page
    • Corners
    • Need for corner analysis
    • PVT Variations
    • Corner Analysis
    • PVT/RC Corners
    • Temperature Inversion
    • Cross Corner Analysis
    • Modes of Analysis
    • MC/MM Analysis
    • OCV
    • Derating
    • OCV Timing Checks
    • OCV Enhancements
    • AOCV
    • SSTA
    • CRPR/CPPR
    Go To page
    Copyright © 2021