Physical Design Q&A

Q51. What is GDSII file?

  • GDS (Graphic Data Stream) is a file that was developed by calma company in the year 1971 and the GDS II in the year 1978.
  • It is a binary file format that represents layout data in a hierarchical format.
  • Data such as labels, shapes, layer information and other 2D and 3D layout geometric data.
  • This file is then provided to the fabrication plant that uses this file to etch the chip based on the parameters provided in the file.

Q52. What is a SDF file related to VLSI Physical Design?

  • SDF stands for Standard delay format.
  • It gives information on the timing data extensively used in backend VLSI design flows.
  • SDF gives information about
  1. Path delays
  2. Interconnect delays
  3. Timing constraints
  4. Tech parameters affecting delays
  5. Cell delays.
    SDF file is also used in the back annotation of delays in the gate level simulations for mimicking the exact Si behavior.

Q53. What is DEF file in VLSI?

  • The Design Exchange File, is an industry standard file that is used for representing logic and connectivity of an IC in ASCII format.
  • It generally defines die size, connectivity, pin placement and power domain information.

Q54. Explain the types of metal programmable ECO cells?

  • There are 2 types of programmable ECO cells, one is ECO filler and other is functional ECO cells. The ECO filler cells are constructed based upon the base layers known as Front-end-of-line(FOEL), FEOL are implant, diffusion, and poly layers. This allows any functional ECO to be performed using back-end-of-line layers.
  • Functional programmable ECO cells include a wide Variety of combinational and sequential cells with multiple drive strengths realized by using width multiples for filler cells. Their cell has the same FEOL footprint as that of ECO filler cells.
  • The only difference is that the functional ECO will use ECO filler FEOL layout and have contact connections to poly-layers and diffusion and metal1 layers for internal connections in order to construct a functional gate.

Q55. What is +ve unateness, -ve unateness & non-unate?

  • +ve Unateness: A timing arc is said by +ve unate, if output signal direction is same as the input signal direction or output signal does not change: Examples - AND, OR

  • -ve Unateness: A timing arc is said to be -ve unate, if output signal direction is opposite to that of input signal direction or output signal does not change Examples: NOR, NAND, Inverter.

  • Non-Unate: In a non-unate timing arc, the output transition cannot be determined solely from the direction of change of an input but also depends upon the state of the other inputs. Example: XOR

Q56. Can we get 0 skew what is the problem?

If skew is 0, then all the flops will trigger at the same time. So power consumption will be more.

Q57. what's the impact on the timing if you insert inverter on the capture clock pin?

  • Before inserting inverter, they have full clock cycle available for Setup.
  • After inserting inverter, it becomes half-cycle path for setup timing calculation and hence setup timing will be so critical. But we don’t see any hold timing issue as capture clock comes earlier by half clock period (i.e. at -ve edge) and launch clock comes after that (i.e.at +ve clock edge). Hold path will extra half cycle & hence it becomes less critical.

Q58. Difference between clock skew and clock latency?

  • Clock skew is the clock reaching the clocked elements and different time.
  • clock latency is the clock reaching the clock input pin from where it is getting generated. From that pin only the clock will be supplied to different flops.

Q59. What is Pad limited design and core limited design. Is there any difference in approaches to handle these?

Pad limited design:
    The Area of pad limits the size of die. No of IO pads may be lager. If die area is a constraint, we can go for staggered IO Pads.
Core limited design:
    The Area of core limits the size of die. No of IO pads may be lesser. In these designs in line IOs can be used

Q60. How will we decide chip core area?

Die Size = Core Size + IO to Core Clearance + Area of Pad (Including IO Pitch Area) + Area of Bond longest Pad

I/O-core clearances is the space from the core boundary to the inner side of I/O pads(Design Boundary)
  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021