Physical Design Q&A

Q461. What is the difference between the clock mesh and clock tree-type distribution system?

Clock mesh distribution system:
  1. Presence of mesh net, which smooths the arrival time difference from multiple mesh drivers.
  2. Mesh drivers are connected to mesh net as the multi-driven net.
  3. It produces much lower clock skew and clock insertion delay.
  4. The design stages are more.
  5. Power dissipation is high.
  6. Complexity in implementation
  7. More routing resources are required to produce clock meshes.
Clock tree distribution system:
  1. A mesh net is not required.
  2. number of design stages is optimal.
  3. Power dissipation is low.
  4. The clock tree has a clock source, clock tree cells, clock gating cells, buffers, and load.
  5. It is best suited for slow clock circuit designs.

Q462. Are clock tree synthesis and clock tree distribution, the same thing?

No, clock tree synthesis and clock tree distribution are not the same things. The clock tree synthesis is used to design the clock tree distribution system. It is used to minimize the clock insertion delay as well as clock skew. For clock tree synthesis, ideal clock arrival times are used, whereas the clock tree distribution system uses real clock arrival time.

Q463. What is the need for clock gating?

The clock gating means controlling the clock toggling activity. As the clock drives a lot of elements in a circuit, it consumes a lot of power. The ability to turn off the clock toggling, when not required, is known as clock gating.
In synchronous circuits, clock gating is used to save dynamic power. An extra logic circuit is used which disables the unused clock states. In RTL (register transfer level), the clock gating is commonly used to reduce the size of the die as well as dynamic power consumption. It does not affect the functionality of the design. The clock gating prunes the clock tree i.e. disable the switching of flip-flops. The switching of flip-flop consumes power. By using clock gating, switching power consumption is zero. The clock gating technology saves the area of the die. The concept of clock gating is shown in Figure.

Clock gating functionally requires only an AND or OR gate. The other input of the AND gate is used to turn off the clock for inactive receivers. Thus, it is an efficient power-saving technique.

Q464. What is the significance of CRPR in static timing analysis?

In static timing analysis, CRPR stands for clock reconvergence pessimism removal. The static timing analysis is based on a worst-case analysis. In setup analysis, it uses the slowest possible launch path and fastest capture path. If launch and capture share a common path, the worst case of STA becomes pessimistic as in a common path, fast and slow path cannot happen simultaneously. The CRPR is the accuracy limitation of STA.

Q465. What is DEF and what is its use?

The DEF file is a designed exchange format. It is used to describe:
  1. Physical aspects of design such as die size, connectivity, macros, etc.
  2. Floorplanning information such as standard cells, placement, and routing, etc.
  3. The physical representation of power and signal routings, pins, etc

Q.466. Explain the term metastability?

In a flip-flop, if the setup and hold time violation takes place, it results in an unpredictable state known as the metastable or quasi-stable state. It can cause a system failure in digital devices such as FPGA, ASIC, etc. In the metastable state, the circuit is unable to settle at either logic 0 or logic 1 within the stipulated time period, this will further fail the system functionality. It happens due to the toggling of flip-flop during the clock transition.
So, when setup and hold time is violated and the output of flip-flop inside the FPGA is unknown or indeterministic, this condition is known as metastability.

Q.467. What are the effects of metastability?

  1. If fan-out is high, the circuit will go to a metastable state, the flip-flop will toggle unintentionally. There will be unexpected behavior of the system.
  2. The circuit will draw excessive current.
  3. The output will have non-deterministic behavior.
  4. The output of the clocked pass gate does not charge properly.
  5. The circuit does not meet timing constraints.

Q.468. What are the reasons for metastability?

  1. Slow transition timing constraints at the input and output level (rise time and fall time).
  2. Low VDD
  3. High parasitic capacitances
  4. Cross talk.
  5. If the input is an asynchronous signal
  6. High clock skew
  7. Excessive combinational delay

Q.469. How metastability can be avoided or tolerated in a circuit?

If input data meet setup and hold time constraints, the problem of metastability can be reduced to an extent. If the signals are generating from different clock domains, it is difficult to control metastability.
  1. The clock period should be precise to avoid delay.
  2. Add one or more successive synchronizing flip-flops to the synchronizer.
  3. Use metastable hardened flip-flops.
  4. Provide the needed settling time.
  5. Receive each asynchronous signal by clocking it into only one flip-flop.
  6. Use asynchronous reset.
  7. Use the metastability filter, but it will increase slack.

Q.470. Can you synchronize between two clock domains?

Yes, two clock domains can be synchronized by using either synchronizer or asynchronous FIFO (if high performance is required). The asynchronous FIFO has two separate interfaces, one clock for reading and another clock is for writing or data extraction purposes.

  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021