Physical Design Q&A

Q451. How multiple vias are used to reduce crosstalk?

As multiple vias are introduced, the resistances will be in parallel, which will reduce the RC delay and further decrease the crosstalk accordingly.

Q452. What is the difference between crosstalk noise and crosstalk delay?

If two signals are close enough, they can cause crosstalk due to coupling capacitance.

Crosstalk delay:
When the one net is switching at a faster rate and the other is switching at a slower speed, due to crosstalk, the speedy net will boost-up the slower net. This is known as crosstalk delay, which is due to timing errors of signals.

Crosstalk noise:
In the case of crosstalk noise, one net is idle (either at logic 1 or logic 0) and the other net is in transition mode (switching from 0 to 1 or vice versa). There may be the introduction of unwanted signal transition due to the coupling capacitor. The reason for crosstalk noise is charge storage effect, power supply or substrate noise. The crosstalk noise analysis tool determines the worst-case glitch on the idle net. The commands for noise analysis are report_noise, check_noise, and update_noise.

Q453. Elaborate on the concept of OCV (on-chip variation)?

All devices along a chip should run at a specific speed and interconnects should be either at the worst-case or best-case corner. But due to some variations at the manufacturing level, the speed is not uniform throughout the chip. There is variation in effective channel length and width of transistors. Due to complexities and variations in submicron technologies, the devices with the same size may have different width as compared to the idle condition.

The major on-chip variations are:
  1. Variation in the channel length
  2. Variation in temperature
  3. IR drop variation
  4. Variation in transistor width
  5. Variation in threshold voltage
  6. Variation in interconnects

Q454. Enlist any two sources of on-chip variation (OCV)?

  1. Etching
  2. Photolithography
  3. Chemical mechanical planarization

Q455. What do you understand by the clock generator and clock distributor?

A clock is a signal that oscillates between low to a high state and vice versa. A network that distributes clock to all clocked elements, for example, buffer and metal network, is known as clock distributor. Whereas, the clock generator is an electronic circuit that produces timing signals. The clock generator is used in the synchronization of a circuit. The basic components of the clock generator are the amplifier and resonant circuit.

Q.456. What are global chip-to-chip variation and local on-chipvariation?

The performance difference between the die is known as global chip-tochip variation. It is modeled as operating corners. Within the same die, if there is a performance difference between the transistors, it is known as local on-chip variations. It is modeled as an added derating factor to skew calculations.
The variation constraints may be:
  1. The thickness of the oxide layer
  2. Transistor channel dimensions (length and width)
  3. The number of doping atoms

Q.457. What are the two main clock distribution styles in VLSI?

There are two clock distribution systems:
  1. Clock tree, also known as Clock Tree Synthesis (CTS). It is placing and routing clock tree elements.
  2. Clock mesh or Clock grid distribution system

Q.458. What is the clock grid distribution system?

The main goal of the clock grid distribution system is to provide uniform delay from the source of the clock to the end receiver i.e. flip-flop, latches, etc. It minimizes the clock skew by providing the concept of stages. The number of stages is dependent on the size of the chip or process technology. It is desirable to have a minimum number of stages. The clock distribution system targets to have the same delay in all stages.

There are two distribution stages; one stage is from the clock source to the boundaries of the block and another stage is a distribution from block boundaries to block. The clock grid distribution system is shown as in Figure.

In stage two, the clock distribution is done inside the block through a fixed number of stages through the mesh/grid of clock buffers. The clock buffers should be symmetric.

Q.459. Explain the concept of the clock mesh distribution system?

In the clock mesh distribution system, the main clock signal is divided into parallel paths using drivers. The array of buffers is cross-connected in a metallic mesh. The driver feeds these buffers. It routes the path to clock sinks. A resonant structure is created using mesh cross-links. The delay of buffers is terminated because of the resonant structure. The clock mesh distribution system is shown in Figure


This kind of clock distribution system is used in high-speed microprocessors. Usually, clock routes are shielded to reduce the coupling effect and variations due to coupling. Due to the variation tolerance nature of the clock mesh system, the problem of clock skew is reduced to a great extent.

Q.460. What is a clock tree distribution system?

In the clock tree distribution system, the clock is distributed to all receivers i.e. flip-flop, counter, etc. In clock tree synthesis, the number of stages is optimal. It is not necessary to have an equal number of stages throughout the distribution system. As the number of stages is less, so the power dissipation is also low. The clock tree distribution system is shown in Figure.


For slow clock designs, the clock tree distribution is best suited

  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021