Physical Design Q&A

Q441. How a multicycle path is achieved by the timing tool?

Generally, it was expected to complete the launching of data and capturing the same within one clock cycle. But there may be the scenario, where it needs more than one clock cycle to complete the launch and capture process. It can be accomplished by instruction:

Set_multi_cycle_n -from < start point> -to

Here ‘n’ specifies the number of clock cycles needs to complete the launch and capture task. This has been instructed to timing tool to verify and analyze the timing path constraints specifications and violations.

Q442. What do you mean by cell delay and net delay?

A wire connecting pins of standard cells is known as the net. The timing delay between input and output pin of a cell is known as cell delay and the timing interconnect delay between the driver pin and load pin is known as a net delay. The stage delay is the sum of net delay and cell delay.
The net delay is the time needed to charge or discharge all the parasitic of the net i.e. resistive, capacitive, inductance, etc.
If the physical wire is not present, we cannot estimate the net delay. Because the accurate value of parasitic depends on the dimensions of the wire.

Q443. Enlist the parameters on which net delay or cell delay depends?

The net delay or cell delay depends on the following parameters:
  1. Input skew
  2. Library setup time
  3. Library delay model
  4. Cell load characteristics
  5. Cell drive characteristics
  6. Operating conditions
  7. Back annotated delay
  8. Wire load model
  9. External delay

Q444. What is the worst delay and best delay?

Every logic gate and net have min and max delay. In static timing analysis, the maximum delay is known as the worst delay and the minimum delay is known as the best delay. The rise and fall delay are also categorized as min and max delay

Q445. Enlist types of delay models used to estimate the delay?

  1. Wire load model
  2. Elmore delay model
  3. Lumped capacitor model
  4. Lumped RC model
  5. Distributed RC model
  6. RLC model
  7. Transmission line model
In design, if a particular delay model is applied, then the same model applies to all cells in a particular library. In a single library, multiple delay models cannot be applied.

Q.446. What is static sensitization?

A path is the static sensitized path when all the side inputs of the path hold non-controlling values. The controlling (non-controlling) value for the AND gate is 0(1). The static sensitization is sufficient for a path to be a true path in the circuit.

A path is statically co-sensitized if the input corresponding to the path is consistent with the value at the output of each gate on the path.
In a co-sensitized path, if path input is controlling then side inputs can also be sensitizing.

Q.447. What do you mean by signal integrity issues?

A set of design issues such as crosstalk, cross-coupling effect, electromigration, and IR drop is called signal integrity issue. A small variation on the single die can violate the design of the whole chip. In an integrated circuit, a wire is routed to another wire using some insulator. An increase in signal value of one wire may vary the signal value of another interconnected wire, in this way, the signal will lose its integrity.

Q.448. What do you mean by crosstalk?

Due to the cross-coupling of the capacitor, the signal at one net/wire can interfere with the signal on neighboring net/wire. This disruption of the signal is known as crosstalk. This may further violate set up and hold time violation. The crosstalk creates undesirable voltage spikes known as glitches. There is a possibility of functionality errors due to glitches and timing errors due to deviation in signal timings.

Q.449. How can you avoid crosstalk?

  1. Increase the spacing
  2. Introduce multiple vias
  3. Insertion of buffer
  4. Shielding
  5. Increase the slew rate
  6. Use the guard ring

Q.450. How the spacing reduces the crosstalk?

When the spacing between the two conductors is more, the width is increased. The cross-coupling will be reduced and consequently, the crosstalk will be reduced.

  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021