Physical Design Q&A

Q401. What do you mean by timing path? What are the start and endpoints?

For static timing analysis, various timing path and path delay is analyzed. The gate delays and net delays are used to calculate path delays. In the timing path, the data is launched (start point) and pass-through using combinational components and as soon as it meets with any sequential component (endpoint), it stops. If at both endpoints, there are sequential elements that are triggered by an asynchronous circuit i.e. using two different clocks, then for setup and hold time analysis, the LCM of both clock periods is considered. The launch and captured edge can be explored using LCM of the clock pulse.

Q402. In the synchronous circuit, what is the first stage of timing delay?

In synchronous circuits, the timing path starts at the clock pin of Flip-flop A. The delay introduced from the clock edge to data output is known as the first stage of delay. The data goes through a series of combinational elements and interconnect wires. Each stage has a timing delay. When data reaches to another Flip-flop B, timing path stops. The clock divergence point is generated because the same clock is used to generate data through Flipflop A and sample data through Flip-flop B. The Stages of timing delay is represented in Figure.


Q403. What are the various timing paths that a designer to go through?

Following timing paths are majorly considered:
  1. Clock pin of one register to D-pin of another register.
  2. Input to D-pin of register.
  3. D-pin of the register to output.
  4. Input to output through combinational elements.
  5. Input to the macro input pin, macro input to the macro output pin, macro output to the primary output pin.

Q404. What do you mean by launch edge and capture edge?

    In synchronous design, generation of data, certain computations, transfer of data, all are done within one clock cycle. At the rising or falling edge of the clock, the memory elements i.e. flip-flop A transfers the data from the input pin to the output pin. This active edge of the clock at which data is launched at the output of flip-flop A is also known as the launch edge.

    The data needs to meet certain timing requirements before it reaches flipflop B. At the next active clock edge, the data and computational results at the input pin of flip-flop B are captured and the data is transferred to the output pin of flip-flop B. This is known as capture edge.

Q405. What do you mean by setup time and hold time?

The data needs to be settled before the capture edge of the clock activates. If the data does not settle before the capture edge, the flip-flop will enter into the metastability state. The time taken by input data to be stable before the capture edge of the clock is known as setup time.

When the capture edge of the clock is deactivated, the time for how long the data remains stable is known as hold time of flip-flop.


Q.406. Which factors decide setup time and hold time?

The set-up time and hold time are calculated by the input data slope, clock slope, and output load.

Q.407. What do you mean by setup time and hold time violation?

At the active edge of the clock, when the data is launched and transverse through flip-flop A and reaches output pin of flip-flop A with some delay. The data should be stable before the capture edge. But sometimes delay makes the circuit unstable and flip-flop enters into metastability and does not satisfy the set-up timing requirements. A similar condition is withheld time, thereafter the assertion of clock capture edge, the data becomes unstable, which violates the hold time requirement of flip-flop/sequential element. The hold time violations are functional failures.<

Q.408. What are the main reasons for setup or hold time violations?

  1. High clock slope
  2. Very fast transition from the output of flip-flop A to the input of flip-flop B.
  3. Sharp clock skew rate due to which second clock edge delays by a first clock edge. There is no synchronization in the alignment of two clock edges.
  4. Capacitance coupling
  5. Design issues

Q.409. What do you mean by critical path, false path, and multicycle path?

The static timing analysis tool is the exhaustive analysis tool that explores and analyses all the timing paths, even if it does not happen.

In timing analysis, the critical path is considered that timing-sensitive functional path which introduces the longest delay in the design. The timing path from the clock to the output of the first flip-flop may have some delay. Assuming both flip-flops are having the same clock if the delay (Clk-output of flip-flop A) is less than the clock period, it is known as timing requirement meets otherwise the timing requirement violates. The path with the highest delay is known as the critical path.

When no data is transferred from start to endpoint, this path is known as a false path. This is a functionally incorrect path. This path is intentionally inserted by the designer to develop a relation between asynchronous circuits. For example, in design, two D flip-flops are not enabled at the same time.

When the generation of data, transfer data, and computation of data takes place in more than one clock cycle, i.e. the data takes more than one cycle to travel from the start point to endpoint, is known as a multi cycling path.

Q.410. What is the worst path and best path?

In between the start point and the endpoint, there are many types of ‘path’. The path which has the minimum delay is known as an early path, best path or minimum path i.e. through this path, the data takes minimum time to reach the endpoint. The path which is having the largest delay is known as the worst path, late path or maximum path, i.e. using this path, the data takes maximum time to reach the endpoint.

  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021