Physical Design Q&A

Q391. Why timing analysis is an important factor?

  1. Timing analysis is used to select appropriate components, as few components are slow. The performance of the circuit degrades because the slow component introduces wait for the state. The fast component is costly. So, timing analysis selects the appropriate component as per the specific application.
  2. Timing analysis verifies whether the circuit is properly designed and work with reliable output for all combinations of input.

Q392. How many types of timing analysis are done in VLSI?

Timing analysis is of two types:
  1. STA
  2. DTA
STA: Static Timing Analysis: checks static delay requirement of circuit. It does not require any input or output variables.
DTA: Dynamic Timing Analysis: The function of DTA is to verify the design functionality with the help of input and output variables.

Q393. What are the important features of STA?

  1. No need for input-output variables.
  2. Simple to use STA tools.
  3. The input to STA is a library, netlist, constraints, and parasitic (R & C), all are commonly available.
  4. STA uses device models based on lookup tables or constant I/V models. It uses the Elmore wire delay model.
  5. STA performs worst-case analysis to check delay requirements of the circuit. It performs timing analysis on all possible paths i.e. it includes potential false paths also.
  6. It is efficient for only a fully synchronous design.
So, the conclusion is:
• STA breaks the design into different timing paths.
• Calculate the signal propagation delay along each path.
• Check violation of timing constraints inside design and me/O interface

Q394. During timing analysis, what are the ideal characteristics of a clock?

  1. The clock should be free of glitches.
  2. The period of the clock should be properly defined and proper phase relationships should be established between two different clocks of interest.
  3. The clock must meet pulse width requirements.
  4. The Jitter parameter needs to be taken care of when the clock speeds increase. For example, PLL should have maximum jitter.
  5. When data is transferred from one clock edge to another, the worst-case duty cycle should be used.

Q395. What are the major functions of STA?

STA checks the following parameters:
  1. Setup time
  2. Hold time
  3. Reset removal and reset recovery time
  4. Clock gating
  5. Min/max fan-out range
  6. Maximum capacitance range
  7. Clock pulse width requirements

Q.396. Which input files are required to run STA?

  1. Gate level netlist
  2. Parasitic files
  3. Constraints
  4. General setup scripts.

Q.397. When Static Timing Analysis is done?

STA can be done after synthesis. It should be done once before layout and 2–3 times after layout. The sign-off can be done after routing.

Q.398. How STA is different from circuit simulation?

  1. As STA does not handle input-output variables so, it is faster than circuit simulation.
  2. It provides deep insight by worst-case timing analysis of all possible logic conditions, whereas the circuit simulation verifies a particular set of input-output variables.

Q.399. How STA is performed on the circuit?

  1. The circuit design is further bifurcated into a possible set of timing paths.
  2. The signal propagation delay is calculated for all the paths.
  3. STA tool analyses the timing constraint of all possible paths and compare with the ideal timing constraints and check whether there is any timing violation in the circuit.
  4. It checks timing violations inside the design as well as at the input-output interface.
Design flow:
Simulation→Synthesis→STA→Layout→Sign-off

Q.400. For timing analysis, what are the various paths that the designer consider?

There are various types of paths, that are to be considered by designer:
  1. Data path
  2. Asynchronous path
  3. Clock path
  4. Clock gating path
  5. The worst and best path
  6. Capture and launch path
  7. Critical path

  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021