Physical Design Q&A

Q371. Design techniques during ECO phase

a. Things to be done in start of ECO PHASE:
    i. Freeze all clock routes
    ii. Fixed all critical cells
    iii. Freeze all critical nets
    iv. Set the ecomode settings:
    1. Update timing: false; it is disable as optimization command are not run.
    2. Legalize cells: false; use will call whenever required.
    3. ecoRoute: false, user will call whenever required
b. Automated:
    i. Eco flow
    ii. Net correction or revert by DEF
c. Manual (verify 2 times):
    i. Manually implementing the eco (connect-disconnect Or attachTermdetachTerm)
    ii. Net correction with manual routes
    iii. Remove power / ground and use these routing tracks for signal routes. Freeze all these manual routings (set_attribute / setAttribute), COVER (cadence) attribute on cells with will not allow to be modified by anyone.

Q372. Buffer insertion

a) Automatically (insert_buffer), manual (connect-disconnect)
b) TCL based buffer insertion by by-pass the buffer BUF1 from other path and use in required path (AND1/a)
    Step 1: disconnect net connected to BUF1/a
    Step 2: disconnect the pin from net connected to BUF1/z
    Step 3: connect the net which was connected to BUF1/a to the pins which was driven by BUF1/z
    Step 4: disconnect AND1/a from the connected net
    Step 5: connect the net which was connected to AND1/a pin to BUF1/a pin
    Step 6: connect the net of BUF1/z to AND1/a pin

Q373. Changing the metal layer for net

a. By attribute
b. By NDR
c. Manual

Q374. What is interactive design mode of execution? Explain the need of this.

a. Interactive mode of operation is the method where designer decide the fixes.
b. Tool optimize only up to the defined CONS or fixed time. Once these limits are reached and tool has not given the expected output, designer needs to fix these in interactive mode

Q375. FUNCTIONAL ECOS:

a. Verilog change for any functionality
    i. PORTS added
    ii. Few gates connection modified
    iii. Comes from designer (functionality addition, BUG)
    iv. Time lines of the design and changes in DB
      1. 2000 nets connection update: DB needs to be checks (implementation, STA)
      2. 10 Flops added: adding additional timing paths, CTS network has to be build
b. STEP:
    i. INPUTs: 3. MODIFIED PD Verilog netlist
    4. PPT, EMAIL, NOTE
C. Generate the ECOs (functional eco) in TCL format for the PD tool
    i. Using TCL, tool commands
      1. ICC commands needs to be use
      2. Compare the netlist and dump the changes in TCL
    ii. Synth tool (DC from Synopsys) with optimization
      1. Cells placement
      2. Cells sizing
    iii. User generate the ECO with tool commands
      1. Assignment:
      a. UPF changes for power assignment of the ports
      b. Other changes required for multi-voltage domain
d. ISSUES:
    iv. Verification
      1. RTL->NETLIST->PD->FECO: Implementation
      2. LEC, RTL <-> Synth (single bit, multi-bit)
      3. CLP, same as LEC checks
      4. LEC - PA, extra input CPF, UPF (IEEE-1801)
      5. Multi-bit Synthesis (where single flops are combined to multi-bit flops)
    v. After FECO, below stage modification required
      1. RTL - manual effort
      2. Synth - manual effort
      3. PD - tool automated commands
      4. STEP to generate the FECO for PD
    vi. Target:
      1. Generate the FECO
      2. Implement the FECO
      3. Validate STA, PV
      4. LEC FECO netlist ↔ after implementation, GATE-GATE LEC check
e. Generate FECO:
    i. Build logical data with current netlist ii. Compare this logical build DB with FECO modified netlist received iii. Write the changes in tool commands
      1. Contains only logical changes
f. Implementation of FECO:
    i. Make the logical design changes as per generated FECO
    ii. Place additional cells added in FECO
      1. SEQ cells added a. CTS needs to be build as per guidelines comes
      2. Combinational cells added
      3. Placement of these new cells (seq, combo) should be done as per timing
    iii. DRV
    iv. Timing vios
    v. ECO-Route for the modified nets
    vi. LEC, CLP GATE-level

Q376. Why is Dynamic IR drop in middle of chip where power stripe is connected?

a. Identify high toggle cells (clock nets: 200%, Signal nets based in VCD, TCF, SAIF)
b. More clock cells are clustered

Q377. What is change in lower technology for DFM, single via?

a. Identify high toggle cells (clock nets: 200%, Signal nets based in VCD, TCF, SAIF)
b. More clock cells are clustered

Q378. What is the problem seen with FILL metal?

a) Dummy metal FILL is adding extra coupling capacitance
b) It is affecting more on lower technology with poor performance
c) Dummy metal FILL in general have more minSpacing rule defined
d) Route blockage is not a layer number defined in GDS
e) Special layer defined for blocking the metal FILL, named EXCLUDE. This is increasing the spacing between metal layer. With the same amount Cc will reduced for more spacing

Q379. LATCHUP IN CMOS

a) Comes for body bias connection
b) Noise current for the technology and body bias connection resistance creates the positive feedback loop which finally short circuit the power and ground.
c) BJT formed because of CMOS device structure, needed a trigger voltage.
d) This trigger voltage comes from the body bias resistance and noise current
e) BJT trigger voltage is fixed as device is fixed as per CMOS structure.
f) Maximum noise current is also fixed for technology.
g) Body bias resistance should be control to avoid latch issues.
h) This limit determines the maximum spacing b/w tap cells

Q380. If foundry has 100% stable manufacturing, will you still choose DFM?

DFM has two rules:
    i. Recommended rules
    ii. vios
  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021