Physical Design Q&A

Q361. Hold fixing:

a. DONE at post_cts (fixed vios upto -0.020) + post_route fix all vios (preferred)
b. DONE at post_route only

Q362. Macro Model:

a. Having clock, from IO port (macro pin) to registers inside macro.
    i. Required during CTS build (min and max insertion delay)
b. Transition and load information of all macro pins
    i. Required for macro clock port during CTS build
    ii. For other macro ports, these info required for correct timing computation
c. RISE, FALL, MIN, MAX : capacitance should be defined for all 4 case
d. Insertion delay : usually given in LIB/.DB
e. If not defined: timing violations will be seen when macro is become flat during STA.
f. Should block level insertion delay be higher than macro insertion delay?

Q363. CTS Build STEPs

a) Trace
b) Deletion
c) Buffer insertion as per Trans limit, tool maintain the more common path
d) Skew balance based on highest delay path

Q364. CTS Checks:

a. DRV (max_Transition, max_capacitance, fanout)
b. SKEW (local and Global)
c. Timing (setup and Hold)
d. Clock quality
e. Power

Q365. Clock_gating vios:

a. Clock gater always be at capture side, it cannot launch data to any flops.
    i. Timing paths always be “TO clock gater”
b. What are the basic problems with clock gating vios
    i. SKEW (launch clock path delay – capture clock path delay): where launch register is having higher clock path delay than clock gating cell.
c. Solutions:
    i. Correct the SKEW problem:
    1. Reduce the clock path delay of all launch register as per their timing violation. Use Float pin on all these startpoint CLK pin with positive value of the violation
    2. Use below commands
    3. get_timing_paths
    ii. ENDPOINT BASED fixing
    1. Endpoints are lesser in count
    2. Margin needs to be there from the register getting driven by clock gater

Q366. Checks after routing

a. Open nets
b. DRC (shorts and total DRCs)
c. DRVs
d. Timing
e. DFM / DFY
    i. Design metal density
    ii. Multicut vias
      1. May cause hold vios after replacing single via to multi-cut via

Q367. Reporting in Routing

a. Qor
b. Group-by-group
c. SKEW
d. Timing
e. SHORTS DRCs
f. DFM

Q368. What is Z in routing command

a. 45-degree routing capability of the tool
b. Used for RDL routing or analog designs

Q369. How to fix open and shorts?

a. Generally, tool will not leave any open.
    i. If there are any special attributes defined on that net (skip_route, freeze)
    ii. Reset these attribute and run commands (route_zrt_eco, ecoRoute)
b. Shorts:
    i. Shorts with cell internal blockage
    1. Move the cells if possible
    2. Or run eco router again with settings to tool can identify these shorts.
    3. BY TCL, create the full blockage at the short area. Run legalize placement
    4. Power -signal: can be clean automatically by eco router
    5. Power -power: cannot clean by tool
    6. Power -ground: cannot clean by tool
    7. Ground-ground: cannot clean by tool
    8. CLOCK-Signal: eco router can clean this
    9. CLOCK-CLOCK: eco route can clean this, ecoroute is not preferred here.
    ii. Re-running the router commands also fix the shorts
      1. Tool have left shorts because of maximum time approached
    iii. Call ICV to fix shorts in ICC: signoff_autofix_drc

Q370. Design details to be capture for Floorplan, Place, CTS, Route, and final.

a. Starting utilization
b. Height & width
c. Total Die Area
d. ZIC timing (report_qor)
e. Macro orientation
f. Cell VT distribution (LVT, HVT)
    i. All critical paths should be LVT
    ii. get_cells -hier * -filter {ref_name=~*LVT*}
g. STD cells count & Area
    i. get_cells -hier * -filter {mask_layout_type=~*std*}
    ii. get_attribute
    iii. foreach_in_collection
h. Macro count & Area
i. Buffer and inverter count & area
j. Clock buffers and inverter count & area
k. Hold buffers count & area
  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021