Physical Design Q&A

Q341. CGC vios fixing techniques:

Automatically in TOOL:
i. Use useful Skew by adding float pin constraints on all the start point of the violating CGC. Apply the useful Skew as per the violation value.

Q342. Checks at CTS.

Checks
a. All cells are legally placed, macro is already checked before placement
b. TILE: comes from Tech File
c. Design is divided into placement grid based on SITE/TILE
d. SITE_7T, SITE_8T, SITE_12T, HD, HP
e. Performance: HP, 12T cells at the cost of power and area
f. Area: HD, 7T at the cost of performance
g. Area with high performance design: 12T as performance is the main target
h. Target to battery operated device: 7T, HD
i. Target to battery operated device with high performance: 7T at the cost of higher area
j. Xbar architecture: maximum utilization for these arch are below 50%. These arch are net dominating
k. Cell dominating and net dominating
l. Starting utilization is depending on Architecture and given shape
m. Timing
    i. WNS (worst negative slack)
    ii. TNS (Total negative slack)
    iii. FEP (failing endpoints)
n. Timing and congestion are going to degrade in further stage
i. Main reason for this is routing estimation at placement
o. Why is timing BAD at CTS?
    i. Routing estimation
    ii. Timing estimation (clock SKEW, in uncertainty of clocks)
    iii. Placement level clock uncertainty: Jitter (fixed)+ SKEW (fixed) + distortion on clock path (fixed)
    iv. CTS level clock uncertainty: Jitter (fixed)+ SKEW (depends on path) + distortion on clock path (depends on nos of levels, cells used)
p. Congestion

Q343. CTS Inputs:

a) DB completed all placement checks
b) CTS target
c) CTS constraints
d) CTS cells
e) CTS NDR
f) CTS exceptions

Q344. CHECKS after CTS

a) Cells are legally placed
b) Cells used as per input given
c) Transition time on clock path
d) SKEW
e) Insertion delay
f) Timing
g) Congestion

Q345. CTS Targets.

a) SOC level insertion delay is hard requirement to meet (WIFI chip max insertion delay 5ns)
b) Balance clocks only for the blocks communicating
c) Clock transition is hard limit to meet for block
    i. Sequential cell delay
    ii. Tsetup and Thold requirement of the flop
    iii. Lesser short ckt current
    iv. For sharper trans time: bigger cells will be used which will consume more switching power
    v. For sharper trans time: More number of levels

Q346. How to decide on minimum insertion delay of block?

a. Communication to other blocks
b. It is must to decide and apply in design

Q347. What is the impact if insertion delay of the memory and macro not defined during CTS?

a. MEM2REG paths for setup timing
b. REG2MEM paths for hold timing

Q348. Why is there a guideline for flops, keeping outside channels?

a) NDR, which is consuming more routing tracks, it was not accounting in placement congestion estimation
b) Solution to this is “EARLY CLOCK” flow. This is used in lower technology which gives correct estimation of routing tracks requirement and STD cells area.
c) Another solution: reserve some percentage of tracks for each layer, impact the timing of design

Q349. Why is clock transition better than data transition?

a. Xtalk
b. Better skew
c. Lesser short ckt current

Q350. Why are clock nets have extra spacing?

To avoid Xtalk on data nets.
  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021