Physical Design Q&A

Q301. Steps to solve multi-cycle problems?

a. Draw launch and capture waveform with ideal clock.
b. Mark the active edges for launch and capture.
c. Fix the current active launch edge.
d. Mark the number of cycles in capture active edges.
e. Select the setup check edges as per the given constraint.
f. Setup check needs to shift number of cycle forward for setup.
g. Hold check needs to be shift (numbers of cycle – 1) cycle backward.

Q302. Steps in fixing the setups timing:

a. Review clock skew
b. Optimize Data path first
c. Look for clock skewing (floating pin / useful Skew)
d. Useful Skew at launch flop:
    i. Timing margin to launch flop
e. Useful Skew at capture flop
    i. Timing margin from capture flop

Q303. SPEF EXTRACTION

a. INPUTS: SPEF,. V, .SDC, .DBs + MACRO.V (if macro needs to be flat)
b. INPUTS FOR GENERATION: NXTGRD, Mapping, MW_LIB OR DEF + MACRO.def (if macro needs to be flat): ALSO CALLED FLAT EXTRATION
    i. Required: metal layer, via information
    ii. Cap, resistance model
    iii. Mapping file iv. LEF or FRAM view
c. OUTPUT OF STARRC:
    i. SPEF
    ii. Open and shorts information
d. SPEF STICH:
    i. MACRO SPEF will be used for macro internal RC values.
    ii. Multiple spef files will be there
    iii. Read all lower level SPEF before main SPEF file
    iv. SAVING on SPEF GENERATION TIME and CPU Usage
    v. Lesser accuracy compare to FLAT extraction

Q304. Case_analysis

set_case_analysis 0 [get_ports SCAN_EN]
i. DEFINED IN SDC file
ii. Propagating the logic value till MUX to make decision on MODEs of operation
iii. Assignment: check the case_analysis given in SDC
    1. cd /home/root………./design_data/
    2. grep “case_analy” *.sdc (when present directory is data directory)
    3. grep “case_analy” /home/root………./design_data/*.sdc

Q305. What are the valid start points of any design?

a. INPUT PORT
b. CLK pin of FLOP

Q306. What are the valid endpoints?

a. OUTPUT PORT
b. FLOP data pin (d pin)

Q307. Why is derate applied only on capture clock path?

a. Set_timing_derate -early: only capture clock is min for setup.
b. All variations are applied to capture side.

Q308. Why is DFF used over JK flops?

RACE condition

Q309. Useful Skew

a. Required whenever there is no scope of optimization on data path
b. Useful Skew on Launch path:
    i. Many start points needs to be early
    ii. Some cases difficult to make clock early as it may violate transition
    iii. Condition: +ve setup slack to launch register
c. Useful Skew on capture path:
    i. Preferred as STA analysis is done based on endpoint and lesser endpoint count
    ii. Increase the capture clock path delay
    iii. Relatively easier to increase the clock delay
    iv. Condition: +ve setup slack from capture flop

Q310. Hold fixing after tapeout?

a. Missing timing arc
b. In all other condition, there is no scope of fixing the hold.
  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021