Physical Design Q&A

Q261. If you are failing transition on a net routed over a macro. How do you fix transition with minimal rerouting?

  • Layer promotion
  • Increasing driver strength
  • Downsizing sinks
  • Load Splitting
  • Delete net/nets around the violating net with positive setup/hold margins and slew margin and Re-global route it to see if there are any alternative ways to route the nets around the macro and then buffer it.

Q262. What is the short circuit current? How transition affects short circuit current

  • A rising signal is applied at input of CMOS inverter. As the signal transitions from low to high, the N type transistor turns on when Vgs > Vtn and the P type transistor turns off. However, for a short time during signal transition, both the P and N type transistors can be on simultaneously when Vtn < Vgs < VDD-Vtp. During this time, current Isc flows from Vdd to GND. This current is called short circuit current causes the dissipation of short-circuit power (Psc). Or When input voltage is between Vtn and VDD-|Vtp|, both PMOS and NMOS will be open for a short period of time, which causes a current flow from VDD to VSS. This is called short circuit current and power dissipated due to this is called short circuit power.

  • For circuits with fast transition times, short-circuit power can be small. However, for circuits with slow transition times, short-circuit power can account for 30 percent of the total power dissipated by the gate. Short-circuit power is affected by the dimensions of the transistors and the load capacitance at the gate’s output.

Q263. what is AWP (advance wave propagation)

Signal waveforms gets distorted at the input of the receiver due to miller affect and long tail affect. Cell delays will be optimistic If we don’t consider these affects. We need to enable AWP with time. delay_calc_waveform_analysis_mode for better and accurate cell delay values. We need to provide ccs timing and ccs noise models for this purpose.

Q264. In case, if you have access to only timing report at post route. What are the factors you will look into to improve setup timing?

First of all, check whether timing path is real of false path by checking the clocks. If both launch & capture belongs to same clock group, then see whether they their clock pins balanced properly with less skew or not. If common clock path is less, then ocv derates will make the timing close difficult as skew will be more due to that. Similarly, if launch & capture belongs to different clock domains, check with the designer if this path is valid or not. If it is valid and then these clocks were not balanced and not in the same skew group, then try to build CTS with better skew improvement. After this, will check data path. Check data path has any low drive strength cells with bad transition, it means, tool didn't do better job or tool couldn’t able to upsize them due to high cell density. If data path has large buffer/inverter chain, then detour happened due to congestion in the design and fixed DRV fixes on these nets by adding buffer chan. So address congestion to improve timing
    a) Look at delta derate delay, if you see more then reduce net length during optimization and If you see more clock use better NDR rule
    b) More cell delay can be improved by avoiding low drive strength cells.
    c) Look at the skew, if more can be improved during CTS
    d) setup time and clk->q access time of flop or memory need to be checked.

Q265. What are the design issues with higher Input transition?

a. Higher output transition
b. Higher cell delay

Q266. What is the difference between drive strength and fanout?

a. Driving capability:
    i. how much maximum capacitance a device can drive
    ii. It is captured in .lib as max_capacitance

b. Fanout:
    i. These are the number of gates drive
    ii. Device models will decide the fanout
    iii. Technology variation also decide

Q267. What is the need of models in CMOS design?

a. Faster computation
b. Accurate computation

Q268. What are the inputs for .lib?

a. Input transition
b. Output load

Q269. What are the outputs of .lib?

a. Output Transition
b. Cell delay

Q270. Why is input capacitance similar for higher drive?

a. All STD cells are designed in two stage [1stage => 2stage]
b. 1 st stage which is mainly for functionality of the cell
c. 2 nd stage is for driving capability of the cell
d. For higher drive cells, only 2nd stage gets more strengthen
e. As 1st stage is not getting change much so input capacitance are similar
  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021