Physical Design Q&A

Q241. STAR-RC & PT ECO Flow.

  • StarRC command file for ECO Extraction for MW DB. MILKYWAY_DATABASE: CPU.mw
      BLOCK: top_block_rev0
      ECO_MODE: YES
      STAR_DIRECTORY: star
      NETLIST_FILE: pre_eco_full_chip.spef
      NETLIST_ECO_FILE: post_eco_incr.spef
      SUMMARY_FILE: pre_eco.star_sum

  • Execute the StarRC run. The first run is a full-chip extraction and the resulting netlist is saved under the name specified by the NETLIST_FILEcommand. An empty netlist is saved to the file specified by the NETLIST_ECO_FILE command.
  • Modify the read_parasitics command in the PrimeTime script to include both the full and ECO netlist names as follows:

      read_parasitics -format spef -keep_capacitive_coupling \ ./pre_eco_full_chip.spef \ -eco ./post_eco_incr.spef

  • Execute the PrimeTime run.
  • Fix timing violations and save the changes in the design database

Q242. Do we perform dynamic/static IR drop analysis for all modes (functional, scan capture, scan shift)?

We take use case scenario of the chip which consumes dominant power. So we take that VCD and will close. there could be multiple VCD’s also in parallel.

Q243. In how many corners we will do IR drop analysis?

Dynamic power depends on “load capacitance” so we choose the corner (either rcworst or cworst corner) where impedance is more.

Q244. What is data toggle rate for static IR drop analysis/dynamic?

It is purely design dependent only. Use case scenario of VCD gives correct number

Q245. Does any relationship between dynamic IR drop target to static IR drop target? (Dynamic IR drop target < = 3*Static IR drop target).

Static works on average power basis and Dynamic works on peak/avg/rms current waveform basis analysis.

Q246. what is ramp up voltage? How will it vary? how to calculate? how it impacts the IR drop analysis?

ramp/wake up time: time it takes to bring voltage to its peak from 0 during system bring up time. In ramp up analysis flow we will get wake up time and voltage and how many cells are switching and not switching. If all the designs switch at one time, then demand will be more than supply and IR drop will be more so we prefer to connect all Power Switch cells in chain fashion and bring up them in serial manner.

Q247. off_state_leakage current? how will impact the IR drop?

by using header power switches, we can get relieved when they are in off state. If we are doing power gating, then there will be control on leakage current and hence leakage power in design so it automatically in control.

Q248. multi bit flip-flop designs pros & cons?

Replacing single-bit cells with multibit cells offers the following benefits:

  1. Reduction in area due to shared transistors and optimized transistor level layout.
    • The area of the 2-bit cell is less than that of two 1-bit cells due to transistor-level optimization of the cell layout, which might include shared logic, shared power supply connections, and a shared substrate well. The register bits assigned to a bank must use the same clock signal and the same control signals, such as preset and clear signals.
  2. Reduction in the total length of the clock tree net.
    • flip flops after merging reduces the dynamic power about 23.68% and the total power about 8.55% because lower net length. It is also found that the global clock buffer is reduced to 37.84%.
  3. Reduction in clock tree buffers and clock tree power
  4. This should also reduce clock skew in sequential gates as the clock paths are balanced internally in a whole multi-bit cell
  5. The SoC implementation using multi-bit flip-flops should result in smaller SoC area as the total number of clock buffers should reduce, resulting in less congestion.
  6. The multi-bit usage should improve the timing numbers, due to shared logic (in clock gating or set-reset logic) and an optimized multi-bit circuit and layout from library team.


Cons:

  1. IR Drop Issues
  2. EM Violations
  3. LEC difficulty as LEC done on comparing names. So u need to have svf file for mapping multibit fops to their single bit flops

Q249. Does jitter affect the hold violations?

Jitter is the time variation of a periodic signal. Since, we do check the hold violations on the same clock edge (launch and capture edge of the same flop), jitter will not affect the hold violations if there is no uncommon clock path. Jitter might affect hold if there is an uncommon path between the launch and capture clock (due to distribution jitter).

Q250. Does Jitter affect the setup violations?

Jitter affects the setup violations because we do check the setup violations on the clock edges of launch and capture flops.
  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021