Physical Design Q&A

Q211. what is threshold voltage? How does it affect cell propagation delay?

Threshold voltage is the minimum voltage required to establish a channel b/w source and drain in a CMOS. Delay of a cell is inversely proportional to the threshold voltage.

Q212. What is Power aware placement?

Low-power placement tries to shorten the length of high-activity nets based on the available switching activity. Low power placement does not do any optimization, including resizing drivers. However, it is done concurrently with timing, power, DRC, and congestion optimization that resizes cells.

Q213. HVT vs ULVT scaling across corners, which one would you prefer to fix hold if the path is also setup critical?

Cell delay variations of HVT cells are higher across different PVT corners (for different operating voltages and different temperatures due to temperature inversion) compared to ULVT cells because of the huge variation in drive voltage Vgs-Vt . So i use ULVT for this purpose

Q214. How do you fix Dynamic voltage drop?

  • Making the power grid more denser by adding additional power/ground straps to improve current conductivity.
  • Cell padding: Add cell padding to the cells which are switching simultaneously to reduce the peak current demand from the power grid.
  • Downsizing cells: decrease the drive strength of the cells in the non-critical timing paths to reduce the instantaneous current demand in the local hotspots or as a preventive measure, you can use set_clock_cell_spacing command for spreading clock cells (which are having more switching activity compared to data path cells). This is nothing but changing the timing window of non-critical timing path cells
  • Inserting decap cells: Decap acts as a charging reservoIR that can supply current to the standard cells when there is a simultaneous switching of cells in the hot spot region. However, decaps are leaky and it will add to the leakage power in the design
  • Splitting output capacitance: Amount of current drawn from the power grid is directly proportional to the output capacitance that’s being driven. Load splitting can reduce the peak current demand from the power grid. So dynamic IR drop will be addressed.
  • Use MIM (Metal -Insulator – Metal) for stabilizing the power

Q215. What are the factors that affect Vt?

  • VDD(VDS) - As the VDS increases, depletion region around drain will increases. Hence channel length will decrease. So Threshold voltage will change or comes down
  • Substrate body voltage: As the substrate body potential increases from 0V, then threshold will decrease. Vt = Vt(sb=0) - K [ Sqrt ( Phy + Vt(sb) - sqrt (phy)]
  • Channel Length: Threshold voltage changes proportional to channel length
  • Gate oxide thickness: As the gate oxide thickness reduces, threshold voltage will reduce. For smaller Vgs voltage, channel will form if thickness is less
  • Temperature: As the temperature increases, the threshold voltage will decrease. Vt(T) = Vt(Tr) - K(T-Tr); Tr => Room Temperature, Where K is a factor
  • Doping concentration in channel: Threshold voltage decreases with increasing doping in channel
  • Doping in substrate will increase VT (assume doping in P-type substrate of NMOS will increase VT)

Q216. What happens to Vt when Temp incr? why?

VT decreases with Increasing temperature. Vt(T) = Vt(Tr) - K(T-Tr); Tr => Room Temperature, Where K is a factor

217. What happens to mobility when Temp incr? Why?

As the temperature increases, mobility will decrease because increased temperature will induce more charge carries, which will collide with other charge carriers. This will reduce the mobility of the carriers.

Q218. Does mobility keep decreasing with increasing in Temp?

Yes

Q219. PMOS (holes) vs NMOS (electron) mobility?

Electrons mobility is always higher than holes mobility. Its 2 to 2.5 times faster

Q220. What does delay of a cell depend on?

Input slew, output load, input signal vector sequence, Multiple input switching (MIS), VT, Mobility, temperature, channel-length, VDD, gate oxide thickness,
  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021