Physical Design Q&A

Q191. What is a zero-bit retention flop?

All retention flops need isolation on its clock pin and reset pin. These isolations can be implemented either as a part of the retention flop or we can have a separate isolation cell connected to the CK/RST pin. The advantage with the first implementation is that it reduces the complexity. The second implementation consumes less area because we can have a common isolation cell for multiple retention flops. But it increases the complexity in implementation. This latter method is called zero-bit retention flops

Q192. Generally, explain the implementation methods for zero-bit retention flop?

Firstly, if there are any isolation cells in the incoming Netlist on the CK/RST pins of the retention flop we remove them. After the HFNS we take the last buffer connected to the reset pin of the retention flop and convert it into an iso high cell. This will take care of the reset pin isolation. Before CTS stage, we get all the fan-in of the CK pins of all the retention flops. These will be ICG outputs. On these outputs we will insert an isolation (iso low) cell. We add a don’t touch on the outputs of these isolation cells. Now we let the tool do CTS. During CTS the tool will clone the isolation cells as required. This will take care of the clock isolation.

Q193. What are the care about for retention flop secondary pin routing?

Throughout the design you would have a secondary power stripe in between the primary power stripes. During placement we would have to make sure all the RFFs are aligned with the secondary power stripes. This is to reduce the resistance of the RFF secondary power connections. Apply an attribute of route-as-signal on the secondary power pins of RFFs. Then we would apply an NDR of 3x width on these signal routed power nets. These will be routed before clock nets and signal nets.

Q194. What is the difference between a destination isolation cell and source isolation cell?

Isolation is required while crossing from a switchable domain to an AON domain. The isolation cell can be placed either in the switchable domain (source isolated) or the AON domain (destination isolated). If it is in the switchable domain (source isolated) it will require a secondary power of the AON domain.

Q195. When do we need level shifters?

Level shifters are required when there is significant (if is above the noise margin) voltage difference between the two domains.

Q196. What's the effect on setup and hold if we reduce the frequency (increasing the clock period)?

  1. It will improve setup timing for both full and half cycle timing paths
  2. It will not affect the hold if it is full clock cycle path (as launch and capture edges comes at the same time), but it improves the hold timing for half cycle paths as the capture comes half cycle prior to the launch clock.

Q197. Does hold depends on frequency?

  1. Hold doesn’t depend on frequency for full cycle timing paths (same reason as above)
  2. But hold depends on frequency for half cycle timing paths as the launch and capture edges comes at different times. Same reason above

Q198. What type of EM violations you addressed in your career?

  1. First i will try to increase W of metal and if it is congested then i will go to higher layer to get more room to increase the width of the metal.
  2. Increase more no. of via's if violation is on via's.

Q199. If I randomly pick one cell in my design, then what is the power that cell will have in static and in vector less IR drop analysis?

Static power works on avg power calculation algorithm and assumes everything is switching since power uniformly got distributed whereas vector IR analysis works on let’s say if toggling rate is 20% then probability is also 20% that particular cells is going to switch.

Q200. What is tie-high and tie-low cells and where it is used?

TIEH & TIEL cells are used to protect cells from ESD. cell input pins will get connected to TIEH/TIEL instead of connecting them to PG. If they are connected to directly to PG, cells are going to damage if there is a power supply fluctuation.
  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021