Physical Design Q&A

Q161. There are 3 blocks with different voltage domains (assume V1,V2,V3) respectively. V1 is “always on” , V2 is “ON/OFF(ONO Block)” and V3 is “shutdown” one.. V1 was placed at the top and V2 at the middle & V3 at the bottom. How many isolation cells do u need if signal is going from V3 to V1 through V2?. and vice versa.

  • Signal from V1-V3:
      No isolation cell is needed when signal going from V1 to V2 as v1 is always on .
      When V2 is off & V3 is on : 1 isolation cell needed b/w V2 & V3
      when V2 is on & V3 is on : no isolation cell V2 & V3

  • Signal from V3 -V1:
      2 isolation cells are needed when signal passing from V3 to V1 . One e b/w V1 & V2 and another between V2 & V3.

For Better Understanding:
The power domain crossing scenarios for both AON and ONO are described in Figure below

Power domain crossing scenarios.

The cases for Figure are:
1. AON driving ONO (no isolation needed)
2. ONO driving ONO (no isolation needed)
3. ONO driving AON (isolation needed)
4. ONO feed through in ONO block
5. AON feed through in ONO block

Q162. How did you generate functional ECO with conformal LEC ?

generated functional ECO patch by comparing functional eco implemented synthesized netlist against the routed netlist with conformal.

Q163. what happens if I increase clock slew/transition during pre-cts stage?

  • It’s for modeling clock to q delay and library setup check of the flop up front at the prects stage instead of waiting till CTS step.
  • clock transition constraint on clock pins at the pre-cts stage is nothing but modeling library setup margin, which we see after cts.
  • Library setup check on flops will increase (Library setup check will vary based on the slew on clock pin & slew on the data pin) and hence u will be having less available time period for the data path & Tool should work more hard to fix timing violations.

Q164. what happens if you have multiple clocks going through MUX? How do you build clock tree?

  • We have to build "clock tree" for the functional clock going through D0 pin of MUX from its functional clock port to all the register pins
  • Set_dont_touch_network on MUX/Z pin and then build CTS for test_clock
  • Then fix "DRC only" clock tree on the Test clock connected to the D1 pin of MUX i.e. only up to D1 pin.

Q165. why do you focusing on clock skew instead of timing closure at the clock tree stage? i.e. why do u need to care of skew if my timing is met during CTS? why can’t you focus on timing instead of meeting skew at the CTS stage.

Reducing clock skew is not just a performance issue, it is also a manufacturing issue.
    • Scan based testing, which is currently the most popular way to structurally test chips for manufacturing defects, requires minimum skew to allow the error free shifting of scan vectors to detect stuck-at and delay faults in a circuit.
    • Hold failures at the best-case PVT Corner is common of these circuits since there are typically no logic gates between the output of one flop and the scan input on the next flop on the scan chain.
    • Managing and reducing clock skew in this case often resolves these hold failures

Q166. There are 3 flops. setup time from A to B is +200ps and B to C is -50ps at pre-cts stage and skew constraints given was 50ps (i.e. A to B is 50ps skew & B to C is +50ps skew) at CTS stage. How will you fix it?

will borrow timing on either side i.e. early the clock pin of B flop by 50ps

Q167. At post route stage, If I have to over constrain the design slightly over, do u prefer adjusting the clock frequency or do u prefer adjusting clock uncertainty?

Adjusting clock frequency is always better because it changes/affects the calculation of crosstalk arrival windows. So that EDA tool can able to see these cross talks and fix it appropriately without over fixing the design & success rate is always higher on the silicon. Whereas if you change the uncertainty, it's not going to affect the calculation of cross talk arrival windows. I.e. you don’t see any increase in timing path violations due to crosstalk windows. But you do see huge number of timing path violations due to increase in uncertainty and you need to fix them blindly & it’s like over fixing the design. But there are chances that design will failure on the silicon & may not achieve the targeted performance because we may see cell delay changes/noise bumps due to crosstalk when we try to run the design at targeted/changed frequency.

Q168. If you adjust the clock uncertainty, does it affect the SI or not?

No. the clock uncertainty settings do not affect the calculation of crosstalk arrival windows.

Q169. If you adjust the clock frequency (clock period smaller), does it affect the SI or not?

Yes. change in the clock frequency will affect the calculation of cross talk arrival windows & crosstalk noise bumps if steady signal passes near the clock edge. As a result, delay of cross affected cells will change & pops up with more setup/hold violations. Tool will work on fixing these timing violations if there is a chance for optimization at route stage.

Q170. what are the top level commands used very frequently in EDI?

placeOpt , clockOpt, routeOpt, ecoRoute, ecoPlace, ...
  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021