Physical Design Q&A

Q131. Why Metal Fill Insertion?

  • Non uniform metal density causes problems during manufacturing
    1. Especially., Chemical mechanical polishing
  • Extraction considering Metal fill environment
    1. Extraction does NOT consider metal fill in FILL view
    2. Extraction does NOT correctly consider fill in CELL view
    3. Timing analysis does NOT consider fill

Q132. Do you know about input vector controlled method of leakage reduction?

Leakage current of a gate is dependent on its inputs also. Hence find the set of inputs which gives least leakage. By applying this minimum leakage vector to a circuit it is possible to decrease the leakage current of the circuit when it is in the standby mode. This method is known as input vector controlled method of leakage reduction.

Q133. How can you reduce dynamic power?

  • Reduce switching activity by designing good RTL
  • Clock gating
  • Architectural improvements
  • Reduce supply voltage
  • Use multiple voltage domains-Multi vdd

Q134. What are the vectors of dynamic power?

Voltage and Current

Q135. What Is Partitioning?

  • Partitioning is the process of splitting a design into manageable pieces. The purpose of partitioning is to divide complex design components into manageable pieces for ease of implementation. During this step, models for timing and physical implementation are defined. The floorplan defined during prototyping is pushed down into lower level blocks, thus preserving placement, power routing, and obstructions related to placement and routing. Feed-through might also be assigned for nets routed over-the-block and buffered by inserting hole-punch buffers or by modifying the block netlist Logical partitioning is not required for flat physical implementations.
  • Partitioning splits design for logical and physical implementation. For hierarchical physical implementations, logical partitioning directly impacts the physical implementation phase.
  • Partitioning is a method to manage functional complexity from a logical design perspective
  • Partitioning allows multiple design teams to proceed in parallel
  • The bridges between flat and hierarchical physical implementations are:
  • Creation of timing budgets
  • Pin optimization
  • Feed-through or hole-punch buffer assignment
  • Floorplan push-down (Obstructions, Power routes)
  • Advanced netlist optimizations: timing, clock, power, and signal integrity

Q136. Compare the hierarchical and flattened design approaches related to ASIC design?

Flat Design
Advantages
  • A flat design methodology ensures that there are no problems with boundary constraints between the different levels of hierarchy.
  • You have the ability to analyze I/O and hard macro to block paths. You have more accurate timing analysis, because no block modeling is needed.
Disadvantages:
  • Large data sizes
  • Potentially long run

Hierarchical Design
Advantages:
  • You can save time by closing timing at the top level and at the block level in parallel.
  • Generate early top-level timing analysis.
  • Smaller data sets lead to faster run times.
  • You can reuse blocks once they are implemented.
  • If the design uses an IP block, it is easier to insert it into a hierarchical modular design than to try and fit it into a flat design.
Disadvantages:
  • Preliminary block characterization is inaccurate. and can yield false top and block-level timing violations as well as mask timing violations that appear to meet timing.
  • You need to update block timing models frequently when the blocks change.
  • Details are hidden or lost due to modeling at boundaries.

Q137. What parameters (or aspects) differentiate Chip Design and Block level design?

  • Chip design has I/O pads; block design has pins.
  • Chip design uses all metal layers available; block design may not use all metal layers.
  • Chip is generally rectangular in shape; blocks can be rectangular, rectilinear.
  • Chip design requires several packaging; block design ends in a macro.

Q138. What are the Inputs needed for StarRC?

1. Milkyway or GDSII or LEF/DEF database
2. layer mapping file
3. nxtgrd file (contains RC interconnect info)
4. StarRC command file
5. StarXtract

GDSII layers for inclusion must be equated to a LEF database layer with the GDS_LAYER_MAP_FILE command. If any GDSII layer is not specified in the layer map file, it is not translated for extraction and does not contribute to the parasitics.

Q139. Which one do you prefer among PMOS & NMOs for power gating/power switches?

Header(PMOS):
  1. Higher resistance (due to lower mobility) and hence slew rate/transition will be more i.e. switching activity is slower
  2. short circuit power is more due to higher transition rate
  3. Leakage power will be less due to higher resistance - pros
  4. Switch ON & Switch OFF takes longer due to higher transition rate

Footer(NMOS):
  1. Lower resistance due to higher mobility & drive strength is more and hence slew rate will less
  2. Short circuit power is less due to lower transition rate
  3. leakage power will be more due to lower resistance
  4. Footer gates are smaller for the same amount of current (NMOS has twice the mobility of PMOS)
  5. Switch ON & Switch OFF takes less time due to lower transition rate

  1. We prefer PMOS header as it has less leakage (due to higher resistance) and slower switching rate. If switching rate is faster, it tries to draw huge rush current at the same time to switch on the block and it will cause the power integrity issue.
  2. Hence Power gating devices should be High VT cells for slower switching.
  3. NMOS is leakier than PMOS and Designs become more sensitive to ground noise on the vIRtual ground (VIRTUAL_VSS) coupled through the footer switch
  4. Selection of footer & header depends on the 3 parameters like switching efficiency, area efficiency & body bias
  5. Switch Efficiency: ratio of drain current in the ON and OFF states (Ion/Ioff). Total Leakage in the power switch is mainly determined by the switch efficiency.
  6. Area efficiency: depends on the product L*W. The switch efficiency decreases with the increase of W in pMOS transistors, therefore the small W is preferred.
  7. Body Bias: Applying reverse body bias on the sleep transistor can increase the switch efficiency (body bias increase Vt, as a result leakage current Ioff will be reduced) and reduce leakage significantly. Cost for the reverse body bias in the header switch is significantly smaller than in the footer switch. This is because NWELL for the PMOS is readily available for bias tapping in the standard CMOS process. Whereas nMOS transistor does not have a WELL in the standard CMOS process and its needs higher chip fabrication cost and design complexity
  8. Conclusion: pMOS header is preferable in reverse body bias application.

Q140. what is power gating, its integrity issues and compare coarse grain power gating with fine grain power gating?

Power Gating: Effective for reducing the leakage power in standby or sleep mode

Power Gating Overheads:
  • Silicon area taken by the sleep transistors.
  • Routing resources for permanent and virtual power networks.
  • Complex power-gating design and implementation processes.

Power integrity issues.
  • IR drop on the sleep transistors
  • Ground bounce caused by inrush wake up current.
  • Wakeup latency

Compared to fine grain, coarse grain power gating has
  • Less sensitive to PVT variation
  • Introduces less IR-drop variation
  • Imposes a smaller area overhead
  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021