Physical Design Q&A



Q1. What are the inputs required for any physical design tool and the outputs generated from the same?

Input data Required for Physical Design.


  1. Technology file (.tf in synopsys format and .techlef in cadence format) : It describes the units, drawing patterns, layers design rules, vias, and parasitics resistance and capacitance of the manufacturing process.
  2. Physical Libraries (In general Lef of GDS file for all design elements like macro, std Cell, IO pads etc., and in synopsys format .CEL, .FRAM views for the above) : Contains complete layout information and Abstract model for placement and routing like pin accessibility, blockages etc.
  3. Timing, Logical and Power Libraries (.lib or LM view -.db for all design elements) : Contains Timing and Power info.
  4. TDF file (.tdf or .io) : Contains pad or pin arrangements like order and location of the same. For full chip the instantiation of VDD and VSS pads Power Cut diode etc., (Whichever is not available in verilog netlist).
  5. Constraints (.sdc) : Contain all design related constraints like Area, power, timing.
  6. Physical Design Exchange Format –PDEF (optional) : Contains, row, cell placement locations etc.
  7. Design Exchange Format –DEF (optional) : Contains, row, cell placement locations etc.

to know the input files in detail Click here

Output data from Physical Design Tool.


  1. Standard delay format (.sdf) : Timing Details (Except load info).

  2. Parasitic format (.spef, .dspf) : Resistance and Capacitance info of cells and nets.

  3. Post routed Netlist (.v) Can be of flattened or hierarchical : Contains connectivity info of all cells.

  4. Physical Layout (.gds) : Physical Layout info.

  5. Design Exchange format (.def) : Contains, row, cell, net placement locations etc.,.


Q2. What you know about sanity checks?

  • Sanity Checks mainly checks the quality of netlist in terms of timing
  • It also consists of checking the issues related to Library files, Timing Constraints, IOs and Optimization Directives
  • Some of the Netlist Sanity Checks:
    1. Floating Pins
    2. Unconstrained Pins
    3. Un-driven i/p Ports
    4. Unloaded o/p Ports
    5. Pin direction mismatches
    6. Multiple drivers etc.
  • Other possible issues include Unconnected/ Wrongly Connected Tie-high/ Tie-low Pins and Power Pins (since Tie-up or Tie-down connectivity always through Tie-Cells)

Q3. What we need to start Floor plan?

To start a floor plan first we need inputs like. v, .lib, .lef, .SDC This is the first major step in getting your layout done. Your floor plan determines your chip quality. At this step, you define the size of your chip/block, allocates power routing resources, place the hard macros, and reserve space for standard cells.

Q4. Styles of PD Implementation?

Flat
  • Small to Medium ASIC
  • Better Area Usage Since no reserve space around each sub-design for power/ground
Hierarchical
  • For very large design
  • When sub-systems are design individually
  • Possible only if a design hierarchy exist

Q5. What are the guidelines to place macros?

  • Place macros around chip periphery: If you don’t have reasonable rationale to place the macro inside the core area, then place macros around the chip periphery. Placing a macro inside the core can invite serious consequence during routing due to a lot of detour routing, because macros are equal to a large obstacle for routing. Another advantage to placing the hard macros around the core periphery is it's easier to supply power to them, and reduces the change of IR drop problems to macros consuming high amounts of power.

  • Consider connections to fixed cells when placing macros: When you decide macro position, you have to pay attention to connections to fixed elements such as I/O and preplaced macros. Place macros near their associate fixed element. Check connections by displaying flight lines in the GUI.

  • Orient macros to minimize distance between pins: When you decide the orientation of macros, you also have to take account of pins positions and their connections.

  • Reserve enough room around macros: For regular net routing and power grid, you have to reserve enough routing space around macros. In this case estimating routing resources with precision is very important. Use the congestion map from trial Route to identify hot spots between macros and adjust their placement as needed.

  • Reduce open fields as much as possible: Except for reserved routing resources, remove dead space to increase the area for random logic. Choosing different aspect ratio (if that option is available) can eliminate open fields.

  • Reserve space for power grid: The number of power routes required can change based on power consumption. You have to estimate the power consumption and reserve enough room for the power grid. If you underestimate the space required for power routing, you can encounter routing problems.

Q6. what happens if pins assign to left and right. (if you have IO pins at top and bottom)?

Actually top level chip will be divided into some blocks, IO pins will be placed according to the communication between surrounding blocks. If we assign pins to left and right rather than top and bottom we will face routing issues in further stages.

Q7. How we will assign spacing between two macros?

channel spacing= no of pins*pitch/ (total number of metal layers/2)

Q8. if we do macro abutment, what happens?

There are two cases
  1. If two macros communicating only with each other we can abutment the macros
  2. If the macros communicating with other cells (std cells and IO ports) then we must should provide a proper channel spacing between the macros or else, we can see the routing issue

Q9. Can we place macros 90 and 270dergees orientation?

It depends on which technology you are working on. 45nm & below there are orientation requirements by foundry. Poly orientation should be same throughout the chip. So Macro poly orientation should match with the poly orientation of the standard cells.

Q10. In power planning for rings and stripes which metal layers used and why?

For rings and stripes we use top metal layers because for top metal layers we have low resistivity.

  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021