Physical Design MCQs


1. Chip utilization depends on ___.



2. In Soft blockages ____ cells are placed.



3. Why we have to remove scan chains before placement?



4. Delay between shortest path and longest path in the clock is called ____.



5. Cross talk can be avoided by ___.



6. Prerouting means routing of _____.



7. Which of the following metal layer has Maximum resistance?



8. What is the goal of CTS?



9. Usually Hold is fixed ___.



10. To achieve better timing ____ cells are placed in the critical path.



11. Leakage power is inversely proportional to ___.



12. Filler cells are added ___.



13. Search and Repair is used for ___.



14. Maximum current density of a metal is available in ___.



15. More IR drop is due to ___.



16. The minimum height and width a cell can occupy in the design is called as ___.



17. CRPR stands for ___.



18. In OCV timing check, for setup time, ___.



19. Total metal area and(or) perimeter of conducting layer / gate to gate area" is called ___.



20. The Solution for Antenna effect is ___.



21. To avoid cross talk, the shielded net is usually connected to ___.



22. If the data is faster than the clock in Reg to Reg path ___ violation may come.



23. Hold violations are preferred to fix ___.



24. Which of the following is not present in SDC ___?



25. Timing sanity check means (with respect to PD)___.



26. Which of the following is having highest priority at final stage (post routed) of the design ___?



27. Which of the following is best suited for CTS?



28. Max voltage drop will be there at(with out macros) ___.



29. Which of the following is preferred while placing macros ___?



30. Routing congestion can be avoided by ___.



31. Pitch of the wire is ___.



32. In Physical Design following step is not there ___.



33. In technology file if 7 metals are there then which metals you will use for power?



34. If metal6 and metal7 are used for the power in 7 metal layer process design then which metals you will use for clock ?



35. In a reg to reg timing path Tclocktoq delay is 0.5ns and TCombo delay is 5ns and Tsetup is 0.5ns then the clock period should be ___.



36. Difference between Clock buff/inverters and normal buff/inverters is __.



37. Which configuration is more preferred during floorplaning ?



38. What is the effect of high drive strength buffer when added in long net ?



39. Delay of a cell depends on which factors ?



40. After the final routing the violations in the design ___.



41. Utilisation of the chip after placement optimisation will be ___.



42. What is routing congestion in the design?



43. What are preroutes in your design?



44. Clock tree doesn't contain following cell ___.



45. Power Switch off (PSO) | Power Gating technique in low power is used to reduce



46. Why do we re-order scan chains during placement?



47. Increase in the physical distance of H-tree _________the skew rate.



48. In VLSI design, which process deals with the determination of resistance & capacitance of interconnections?



49. clock buffers are preferred than normal buffers in clock tree building because of



50. Select based on their priority



51. Timing Arc can be from an output to an input, as in bidirectional ports.



52. How many positive unate arcs does the two input NOR gate have ?



53. How many arcs does the two input OR gate have ?



54. Static timing analysis is used mainly in ?



55. What do the STA tools use to determine the wire-load model ?



56. Interconnects ARCS are ?



57. Clock latency includes __ : select all that apply.



58. You can remedy Setup time violation by ?



59. You can remedy Hold time violation by ?



60. When constraining designs in ideal mode, clock uncertainty is usually set to the value of ?



61. When constraining designs in Propagated mode, clock uncertainty is usually set to the value of ?



62. Which of the following used for N-Well Continuity ?



63. In a DataPath with Crosstalk, if aggressor and victim have same transition direction. It aids in ?



64. Which Statemnt is True ?



65. LVS consists of following steps ?



66. Solution for antenna affect is ?



66. ERC Stands for ?



67. Spare cell are comprised for ?



68. What are the components of uncertainty before CTS ?



69. What to do if pin density is more for specific hierarchy ?



70. What to do if pin density is more for specific hierarchy ?



71. What to do if cell density is more for specific hierarchy ?



72. Routing Congestion can be avoided by ?



  • What is synthesis?
  • Goals of synthesis
  • Synthesis Flow
  • Synthesis (input & output)
  • HDL file gen. & lib setup
  • Reading files
  • Design envi. Constraints
  • Compile
  • Generate Reports
  • Write files
Go To page
  • Netlist(.v or .vhd)
  • Constraints
  • Liberty Timing File(.lib or .db)
  • Library Exchange Format(LEF)
  • Technology Related files
  • TLU+ File
  • Milkyway Library
  • Power Specification File
  • Optimization Directives
  • Design Exchange Formats
  • Clock Tree Constraints/ Specification
  • IO Information File
Go To page
  • import design
  • sanity checks
  • partitioning (flat and hierarchy)
  • objectives of floorplan
  • Inputs of floorplan
  • Floorplan flowchart
  • Floorplan Techniques
  • Terminologies and definitions
  • Steps in FloorPlan
  • Utilization
  • IO Placement
  • Macro Placement
  • Macro Placement Tips
  • Blockages (soft,hard,partial)
  • Halo/keepout margin
  • Issues arises due to bad floor-plan)
  • FloorPlan Qualifications
  • FloorPlan Output
Go To page
  • levels of power distribution
  • Power Management
  • Powerplanning involves
  • Inputs of powerplan
  • Properties of ideal powerplan
  • Power Information
  • PowerPlan calculations
  • Sub-Block configuration
  • fullchip configuration
  • UPF Content
  • Isolation Cell
  • Level Shifters
  • Retention Registers
  • Power Switches
  • Types of Power dissipation
  • IR Drop
  • Electromigration
Go To page
  • Pre-Placement
  • Pre-Placement Optimization
  • Placement
  • Placement Objectives
  • Goals of Placement
  • Inputs of Placement
  • Checks Before placement
  • Placement Methods(Timing & Congestion)
  • Placement Steps
  • Placement Optimization
  • Placement Qualifications
  • Placement Outputs
Go To page
  • Pre-CTS Optimization
  • CTS
  • Diff b/w HFNS & CTS
  • Diff b/w Clock & normal buffer
  • CTS inputs
  • CTS Goals
  • Clock latency
  • Clock problems
  • Main concerns for Clock design
  • Clock Skew
  • Clock Jitter
  • CTS Pre requisites
  • CTS Objects
  • CTS Flow
  • Clock Tree Reference
  • Clock Tree Exceptions
  • CTS Algorithm
  • Analyze the Clock tree
  • Post CTS Optimization
  • CTS Outputs
Go To page
  • Importance of Routing as Technology Shrinks
  • Routing Objectives
  • Routing
  • Routing Inputs
  • Routing Goals
  • Routing constraints
  • Routing Flow
  • Trial/Global Routing
  • Track Assignment
  • Detail/Nano Routing
  • Grid based Routing
  • Routing Preferences
  • Post Routing Optimization
  • Filler Cell Insertion
  • Metal Fill
  • Spare Cells Tie-up/ Tie-down
Go To page
  • Diff b/w DTA & STA
  • Static Timing Analysis
  • main steps in STA
  • STA(input & output)
  • Timing Report
  • Clocked storage elements
  • Delays
  • Pins related to clock
  • Timing Arc
  • Timing Unate
  • Clock definitions in STA
  • Timing Paths
  • Timing Path Groups
  • Clock Latency
  • Insertion Delay
  • Clock Uncertainty
  • Clock Skew
  • Clock Jitter
  • Glitch
  • Pulse width
  • Duty Cycle
  • Transition/Slew
  • Asynchronous Path
  • Critical Path
  • Shortest Path
  • Clock Gating Path
  • Launch path
  • Arrival Path
  • Required Time
  • Common Path Pessimism(CPP/CRPR)
  • Slack
  • Setup and Hold time
  • Setup & hold time violations
  • Recovery Time
  • Removal Time
  • Recovery & Removal time violations
  • Single Cycle path
  • Multi Cycle Path
  • Half Cycle Path
  • False Path
  • Clock Domain Crossing(CDC)
  • Clock Domain Synchronization Scheme
  • Bottleneck Analysis
  • Multi-VT Cells(HVT LVT SVT)
  • Time Borrowing/Stealing
  • Types of STA (PBA GBA)
  • Diff b/w PBA & GBA
  • Block based STA & Path based STA
Go To page

  • Congestion Analysis
  • Routing Congestion Analysis
  • Placement Cong. Analysis
  • Routing Congestion causes
  • Congestion Fixes
  • Global & local cong.
  • Congestion Profiles
Go To page
  • Power Analysis
  • Leakeage Power
  • Switching Power
  • Short Circuit
  • Leakage/static Power
  • Static power Dissipation
  • Types of Static Leakage
  • Static Power Reduction Techniques
  • Dynamic/Switching Power
  • Dynamic Power calculation depends on
  • Types of Dynamic Power
  • Dynamic Power Reduction Techniques
Go To page
  • IR Drop Analysis
  • Types of IR Drop & their methodologies
  • IR Drop Reasons
  • IR Drop Robustness Checks
  • IR Drop Impacts
  • IR Drop Remedies
  • Ldi/dt Effects
Go To page

  • Design Parasitics
  • Latch-Up
  • Electrostatic Discharge(ESD)
  • Electromigration
  • Antenna Effect
  • Crosstalk
  • Soft Errors
  • Sef Heating
Go To page
  • Cells in PD
  • Standard Cells
  • ICG Cells
  • Well Taps
  • End Caps
  • Filler Cells
  • Decap Cells
  • ESD Clamp
  • Spare Cells
  • Tie Cells
  • Delay Cells
  • Metrology Cells
Go To page
  • IO Pads
  • Types of IO Pads
Go To page
  • Delay Calculation
  • Delay Models
  • Interconnect Delay Models
  • Cell Delay Models
Go To page
  • Engineering Change Order
  • Post Synthesis ECO
  • Post Route ECO
  • Post Silicon ECO
  • Metal Layer ECO Example
Go To page
  • std cell library types
  • Classification wrt density and Vth
Go To page

  • The Discontinuity
  • Discontinuity: Classification
  • DFM/DFY
  • Yield Classification
  • Why DFM/DFY?
  • DFM/DFY Solution
  • Wire Spreading
  • metal Fill
  • CAA
  • CMP Aware-Design
  • Redundant Via
  • RET
  • Litho Process Check(LPC)
  • Layout Dependent Effects
  • Resolution Enhancement Techniques
  • Types of RET
  • Optical Proximity Correction(OPC)
  • Scattering Bars
  • Multiple Patterning
  • Phase-shift Masking
  • Off-Axis Illumination
Go To page
  • Corners
  • Need for corner analysis
  • PVT Variations
  • Corner Analysis
  • PVT/RC Corners
  • Temperature Inversion
  • Cross Corner Analysis
  • Modes of Analysis
  • MC/MM Analysis
  • OCV
  • Derating
  • OCV Timing Checks
  • OCV Enhancements
  • AOCV
  • SSTA
  • CRPR/CPPR
Go To page
Copyright © 2021